Open64 (mfef90, whirl2f, and IR tools)  TAG: version-openad; SVN changeset: 916
i_cvrt.c File Reference
#include "defines.h"
#include "host.m"
#include "host.h"
#include "target.m"
#include "target.h"
#include "globals.m"
#include "tokens.m"
#include "sytb.m"
#include "debug.m"
#include "s_globals.m"
#include "i_cvrt.m"
#include "globals.h"
#include "tokens.h"
#include "sytb.h"
#include "p_globals.h"
#include "s_globals.h"
#include "i_cvrt.h"
Include dependency graph for i_cvrt.c:

Go to the source code of this file.

Functions

static void allocate_pdg_link_tbls (void)
static void clean_pdg_link_tbls_for_nested_pu (void)
static void cvrt_exp_to_pdg (int, fld_type)
static void cvrt_ir_to_pdg (int)
static void cvrt_proc_to_pdg (char *, boolean)
static void cvrt_sytb_to_pdg (void)
static void finish_symbolic_expr (void)
static TYPE get_basic_type (int, int, int)
static TYPE get_type_desc (int)
static void send_attr_ntry (int)
static void send_darg_list (int, int)
static TYPE send_derived_type (int, int)
static void send_dummy_procedure (int)
static void send_label (int)
static void send_label_def (int)
static void send_mod_file_name (void)
static void send_namelist_group (int, int)
static void send_interface_list (int)
static TYPE send_non_standard_aligned_type (int, int)
static void send_procedure (int, int, int)
static void send_stor_blk (int, int *)
void blank_padding (long64 pad, long64 offset)
void init_PDGCS (void)
void cvrt_to_pdg (char *compiler_gen_date)
void push_data_value (int t_idx)
static TYPE send_derived_type (int type_idx, INT32 nested_attr)
void terminate_PDGCS (void)

Variables

static pdg_type_tbl_type *RESTRICT pdg_type_tbl
static int data_value_idx
static int global_attr_idx
static int blank_pad_text
static int data_attr
static int data_character_bit_length
static boolean stack_data_object
static boolean whole_subscript
static boolean whole_substring
static boolean stack_data_constant
static boolean symbolic_constant_expr
static int processing_call
static int user_call
static int io_ctl_list
static int is_subscript
static int nested_array = 0
static int curr_sh
static int io_type
static int case_cmic_vpr_idx
static int guard_cmic_vpr_idx
static int doparallel_cmic_vpr_idx
static int parallel_cmic_vpr_idx
static boolean processing_io_stmt
static TYPE null_type
static TYPE pdg_type_void
static int pdg_type_tbl_idx
static int pdg_type_tbl_inc
static int pdg_type_tbl_init_size
static int pdg_type_tbl_limit = (1 << 16) - 1
static int pdg_type_tbl_num_wds
static int pdg_type_tbl_size
static int pdg_type_tbl_largest_idx
static int pdg_basic_type [Num_Basic_Types]
static char * p_proc []
static char * p_obj_sym_class []
static char * p_return []
static char * p_atp_pgm_unit []
static char * p_boolean []
static char * p_label []
static char * p_dbg_label []
static char * p_intent []
static char * p_io []
static char * p_sequence []
static char * p_sb_blk_type_str []
static char * p_table_type []
static char * p_distribution []
static char * p_basic_type []
static char * p_const_class []
static char * p_tasking_context []
int pdg_align [8]

Function Documentation

static void cvrt_exp_to_pdg ( int  ir_idx,
fld_type  field 
) [static]

Definition at line 1280 of file i_cvrt.c.

References Abs_Opr, Acos_Opr, Acosd_Opr, Add_And_Fetch_Opr, Adjustl_Opr, Adjustr_Opr, Aimag_Opr, Aint_Opr, Align_Symbol_Star_Opr, All_Opr, Alloc_Obj_Opr, Alloc_Opr, Allocate_Opr, Aloc_Opr, Alt_Return_Opr, Alternate_Return, And_And_Fetch_Opr, And_Opr, Anint_Opr, Any_Opr, Argchck_Loc_Opr, Argchck_Present_Opr, Arith_Const, Array_Construct_Opr, Asg_Opr, Asin_Opr, Asind_Opr, Assert_Star_Opr, Assumed_Size, Assumed_Size_Char, AT_NAME_IDX, AT_OBJ_CLASS, AT_OBJ_NAME_PTR, AT_ORIG_NAME_IDX, AT_Tbl_Idx, Atan2_Opr, Atan2d_Opr, Atan_Opr, Atand_Opr, ATD_ALIGNMENT, ATD_ARRAY_IDX, ATD_BOUNDS_CHECK, ATD_CLASS, ATD_FLD, ATD_IM_A_DOPE, ATD_NOBOUNDS_CHECK, ATD_OFFSET_FLD, ATD_OFFSET_IDX, ATD_PE_ARRAY_IDX, ATD_STOR_BLK_IDX, ATD_SYMBOLIC_CONSTANT, ATD_TMP_IDX, ATD_TMP_INIT_NOT_DONE, ATD_TYPE_IDX, ATL_CLASS, ATL_EXECUTABLE, ATL_NEXT_ASG_LBL_IDX, Atomic_Open_Mp_Opr, ATP_ALT_ENTRY, ATP_DOES_NOT_RETURN, ATP_HAS_ALT_RETURN, ATP_IN_CURRENT_COMPILE, ATP_MOD_PATH_IDX, ATP_PGM_UNIT, ATP_PROC, ATP_USE_LIST, ATP_USE_TYPE, Backspace_Opr, Band_Opr, Barrier_Open_Mp_Opr, Barrier_Par_Opr, BD_ARRAY_CLASS, BD_LB_FLD, BD_LB_IDX, BD_LEN_FLD, BD_LEN_IDX, BD_RANK, BD_SM_FLD, BD_SM_IDX, BD_XT_FLD, BD_XT_IDX, Beqv_Opr, blank_pad_text, Blockable_Dir_Opr, Blockingsize_Dir_Opr, Bneqv_Opr, Bnot_Opr, Bor_Opr, cdir_switch_entry::bounds, bounds_cdir_handler(), Bounds_Cdir_Opr, Br_Aif_Opr, Br_Asg_Opr, Br_Index_Opr, Br_True_Opr, Br_Uncond_Opr, By_Value_Call, C_INT_TO_CN, Call_Opr, Case_Cmic_Opr, case_cmic_vpr_idx, Case_Opr, Case_Range_Opr, cdir_switches, Ceiling_Opr, CG_INTEGER_DEFAULT_TYPE, Char_Opr, Character, Clear_Ieee_Exception_Opr, Clen_Opr, Close_Opr, cmd_line_flags, Cmplx_Opr, CN_CONST, CN_INT_TO_C, CN_INTEGER_ONE_IDX, CN_POOL_IDX, CN_Tbl_Idx, CN_TYPE_IDX, Compare_And_Swap_Opr, Compiler_Tmp, Complex, Complex_16, Complex_4, Complex_8, Concat_Opr, Concurrentize_Star_Opr, Conjg_Opr, Const_Tmp_Loc_Opr, size_offset_entry::constant, Context_Affinity, Context_Control, Context_Getfirst, Context_Lastlocal, Context_Lastthread, Context_Nest, Context_Omp_Affinity, Context_Omp_Copyin, Context_Omp_Copyprivate, Context_Omp_Firstprivate, Context_Omp_Flush, Context_Omp_Lastprivate, Context_Omp_Nest, Context_Omp_Private, Context_Omp_Reduction_And, Context_Omp_Reduction_Band, Context_Omp_Reduction_Bneqv, Context_Omp_Reduction_Bor, Context_Omp_Reduction_Eqv, Context_Omp_Reduction_Max, Context_Omp_Reduction_Min, Context_Omp_Reduction_Minus, Context_Omp_Reduction_Mult, Context_Omp_Reduction_Neqv, Context_Omp_Reduction_Or, Context_Omp_Reduction_Plus, Context_Omp_Shared, Context_OpenAD_Dependent, Context_OpenAD_Independent, Context_Private, Context_Shared, Copy_In_Opr, COPY_OPND, Copy_Out_Opr, Copyin_Bound_Opr, Copyin_Dollar_Opr, Cos_Opr, Cosd_Opr, Cosh_Opr, Cot_Opr, Count_Opr, CP_CONSTANT, CRI_Ch_Ptr_8, CRI_Pointee, CRI_Ptr_8, Critical_Open_Mp_Opr, Critical_Section_Par_Opr, Cshift_Opr, Csmg_Opr, curr_scp_idx, curr_sh, Cvmgt_Opr, Cvrt_Opr, Cvrt_Unsigned_Opr, data_attr, data_character_bit_length, Data_Obj, data_value_idx, Dealloc_Opr, Deallocate_Opr, Deferred_Shape, Deferred_Shape1, Dependent_OpenAD_Opr, Dim_Opr, Disable_Ieee_Interrupt_Opr, Div_Opr, Do_Infinite_Stmt, Do_Iterative_Stmt, Do_Open_Mp_Opr, Do_While_Stmt, Doacross_Dollar_Opr, Doall_Cmic_Opr, Doparallel_Cmic_Opr, doparallel_cmic_vpr_idx, Dot_Product_Logical_Opr, Dot_Product_Opr, Dprod_Opr, Dummy_Proc, Dv_Access_A_Contig, Dv_Access_Assoc, Dv_Access_Base_Addr, Dv_Access_El_Len, Dv_Access_Extent, Dv_Access_Low_Bound, Dv_Access_N_Dim, Dv_Access_Orig_Base, Dv_Access_Orig_Size, Dv_Access_P_Or_A, Dv_Access_Ptr_Alloc, Dv_Access_Stride_Mult, Dv_Access_Typ_Code, Dv_Def_Asg_Opr, Dv_Deref_Opr, Dv_Set_A_Contig, Dv_Set_Assoc, Dv_Set_Base_Addr, Dv_Set_El_Len, Dv_Set_Extent, Dv_Set_Low_Bound, Dv_Set_N_Dim, Dv_Set_Orig_Base, Dv_Set_Orig_Size, Dv_Set_P_Or_A, Dv_Set_Ptr_Alloc, Dv_Set_Stride_Mult, Dv_Set_Typ_Code, Dv_Whole_Copy_Opr, Dv_Whole_Def_Opr, Dynamic_Dollar_Opr, Else_Opr, Else_Where_Mask_Opr, Else_Where_Opr, Enable_Ieee_Interrupt_Opr, End_Critical_Section_Par_Opr, End_Io_Opr, End_Parallel_Par_Opr, End_Pdo_Par_Opr, End_Psection_Par_Opr, End_Singleprocess_Par_Opr, Endcase_Cmic_Opr, Endcritical_Open_Mp_Opr, Enddo_Cmic_Opr, Enddo_Open_Mp_Opr, Endfile_Opr, Endguard_Cmic_Opr, Endif_Opr, Endmaster_Open_Mp_Opr, Endordered_Open_Mp_Opr, Endparallel_Cmic_Opr, Endparallel_Open_Mp_Opr, Endparalleldo_Open_Mp_Opr, Endparallelsections_Open_Mp_Opr, Endparallelworkshare_Open_Mp_Opr, Endsections_Open_Mp_Opr, EndSimple_OpenAD_Opr, Endsingle_Open_Mp_Opr, Endworkshare_Open_Mp_Opr, Entry_Opr, Eoshift_Opr, Eq_Opr, Eqv_Opr, Exp_Opr, Exponent_Opr, F_INT_TO_C, FALSE, Fcd_Opr, fei__maxloc(), fei__minloc(), fei_abs(), fei_acos(), fei_acosd(), fei_add_and_fetch(), fei_add_use_path(), fei_addr(), fei_addr_con(), fei_adjustl(), fei_adjustr(), fei_align_symbol(), fei_all(), fei_alloc(), fei_allocate(), fei_and(), fei_and_and_fetch(), fei_any(), fei_arg_addr(), fei_arg_associate(), fei_argchk(), fei_argloc(), fei_arith_con(), fei_arith_goto(), fei_array_construct(), fei_as_ref(), fei_ashift(), fei_asin(), fei_asind(), fei_assert(), fei_atan(), fei_atan2(), fei_atan2d(), fei_atand(), fei_atomic_open_mp(), fei_backspace(), fei_barrier(), fei_barrier_open_mp(), fei_blockable(), fei_blocking_size(), fei_bneg(), fei_brtrue(), fei_call(), FEI_CALL_DOES_NOT_RETURN, fei_ceiling(), fei_close(), fei_compare_and_swap(), fei_complex(), fei_concat(), fei_concurrentize(), fei_conjg(), fei_constant(), fei_control_list(), fei_copy_in(), fei_copyin(), fei_copyin_bound(), fei_copyout(), fei_cos(), fei_cosd(), fei_cosh(), fei_cot(), fei_count(), fei_critical_open_mp(), fei_criticalsection(), fei_cshift(), fei_cvtop(), fei_dependent_independent_openad(), fei_div(), fei_do_open_mp(), fei_doacross(), fei_doall(), fei_doforever(), fei_doloop(), fei_doparallel(), fei_dot_product(), fei_dot_product_logical(), fei_dowhile(), fei_dsbl_interupt(), fei_dv_def(), fei_dv_deref(), fei_dv_ptr_asg(), fei_dynamic(), fei_else(), fei_enbl_interupt(), fei_end_ioblock(), fei_endcritical_open_mp(), fei_endcriticalsection(), fei_enddo(), fei_enddo_open_mp(), fei_endfile(), fei_endguard(), fei_endif(), fei_endmaster_open_mp(), fei_endordered_open_mp(), fei_endparallel(), fei_endparallel_open_mp(), fei_endparallel_region(), fei_endparalleldo_open_mp(), fei_endparallelsections_open_mp(), fei_endparallelworkshare_open_mp(), fei_endpdo(), fei_endpsection(), fei_endsections_open_mp(), fei_endsingle_open_mp(), fei_endsingleprocess(), fei_endworkshare_open_mp(), fei_entry_pt(), fei_eoshift(), fei_eq(), fei_eqv(), fei_exp(), fei_exponent(), fei_exponentiate(), fei_fcd(), fei_fetch_and_add(), fei_fetch_and_and(), fei_fetch_and_nand(), fei_fetch_and_or(), fei_fetch_and_sub(), fei_fetch_and_xor(), fei_field_dot(), fei_fill_symbol(), fei_fission(), fei_floor(), fei_flush(), fei_flush_open_mp(), fei_formatted_read(), fei_formatted_write(), fei_fpclass(), fei_fraction(), fei_free(), fei_function_ref(), fei_fuse(), fei_ge(), fei_get_all_estat(), fei_get_dv_extent(), fei_get_dv_hdr_fld(), fei_get_dv_low_bnd(), fei_get_dv_str_mult(), fei_get_interupt(), fei_get_rmode(), fei_getpos(), fei_goto(), fei_gt(), fei_guard(), fei_ibits(), fei_ieee_round(), fei_ieee_sign_xfer(), fei_ieee_trunc(), fei_if(), fei_imag(), fei_implicit_expr(), fei_implied_do(), fei_index(), fei_indirect_goto(), fei_inquire(), fei_interchange(), fei_IO_list(), fei_iolength(), fei_iotype(), fei_isfinite(), fei_ishftc(), fei_islg(), fei_isnan(), fei_isunordered(), fei_label_addr(), fei_label_ref(), fei_land(), fei_le(), fei_leadz(), fei_len(), fei_len_trim(), fei_length(), fei_leqv(), fei_lneg(), fei_loc_cmr(), fei_lock_release(), fei_lock_test_and_set(), fei_log(), fei_log10(), fei_logb(), fei_lor(), fei_lshift(), fei_lt(), fei_lxor(), fei_malloc(), fei_mask(), fei_master_open_mp(), fei_matmul(), fei_max(), fei_maxval(), fei_mbclr(), fei_mbits(), fei_mbld(), fei_mbmx(), fei_mbmxl(), fei_mbul(), fei_member_ref(), fei_mfree(), fei_min(), fei_minus(), fei_minval(), fei_mod(), fei_modulo(), fei_mpp_symmetric_alloc(), fei_mpp_symmetric_free(), fei_mult(), fei_multiply_high(), fei_mvbits(), fei_my_pe(), fei_n_pes(), fei_name(), fei_namelist_read(), fei_namelist_ref(), fei_namelist_write(), fei_nand_and_fetch(), fei_ne(), fei_near(), fei_new_binop_cshift(), fei_new_select(), fei_new_select_case(), fei_next_name(), fei_nextafter(), fei_noio_implied_do(), fei_non_conform_store(), fei_nseq_subscr(), fei_null_expr(), fei_nullify(), fei_numargs(), fei_numcpus(), fei_object_ref(), fei_omp_set_lock(), fei_omp_test_lock(), fei_omp_unset_lock(), fei_opaque(), fei_open(), fei_or(), fei_or_and_fetch(), fei_ordered_open_mp(), fei_pack(), fei_page_place(), fei_par_case(), fei_par_endcase(), fei_parallel(), fei_parallel_open_mp(), fei_parallel_region(), fei_paralleldo(), fei_paralleldo_open_mp(), fei_parallelsections_open_mp(), fei_parallelworkshare_open_mp(), fei_paren(), fei_pdo(), fei_plus(), fei_popcnt(), fei_poppar(), fei_pos_diff(), fei_prefetch(), fei_prefetch_manual(), fei_prefetch_ref(), fei_prefetch_ref_disable(), fei_present(), fei_product(), fei_psection(), fei_pstore(), fei_purple_conditional(), fei_purple_unconditional(), fei_push_arith_con(), fei_push_pattern_con(), fei_ranf(), fei_ranget(), fei_ranset(), fei_readsm(), fei_readsr(), fei_redistribute(), fei_regionbegin(), fei_regionend(), fei_rem_cmr(), fei_remainder(), fei_rename_list(), fei_reshape(), fei_return(), fei_rewind(), fei_ridiv(), fei_round(), fei_rrspace(), fei_rshift(), fei_rtc(), fei_scalb(), fei_scale(), fei_scan(), fei_section(), fei_section_gp(), fei_section_nongp(), fei_section_open_mp(), fei_sections_open_mp(), fei_seg_ref(), fei_select(), fei_seq_subscr(), fei_set_all_estat(), fei_set_dv_extent(), fei_set_dv_hdr_fld(), fei_set_dv_low_bnd(), fei_set_dv_str_mult(), fei_set_estat(), fei_set_exponent(), fei_set_ieee_stat(), fei_set_interupt(), fei_set_rmode(), fei_sign_xfer(), fei_sin(), fei_sind(), fei_single_open_mp(), fei_singleprocess(), fei_sinh(), fei_space(), fei_spread(), fei_sqrt(), fei_ssd_alloc(), fei_ssd_free(), fei_start_ioblock(), fei_static_base(), fei_static_member(), fei_static_simple_init(), fei_static_simple_reloc_init(), fei_static_subscripts(), fei_static_substr(), fei_stop(), fei_store(), fei_sub_and_fetch(), fei_subscr_size(), fei_subscr_src_triplet(), fei_substr(), fei_sum(), fei_synchronize(), fei_tan(), fei_tand(), fei_tanh(), fei_task_endloop(), fei_task_send(), fei_task_var(), fei_task_wait(), fei_test_estat(), fei_test_interupt(), fei_transpose(), fei_trunc(), fei_uminus(), fei_unformatted_read(), fei_unformatted_write(), fei_unit(), fei_unpack(), fei_unroll(), fei_use(), fei_user_code_start(), fei_verify(), fei_where(), fei_wmb(), fei_workshare_open_mp(), fei_xor(), fei_xor_and_fetch(), fei_xxx_openad(), Fetch_And_Add_Opr, Fetch_And_And_Opr, Fetch_And_Nand_Opr, Fetch_And_Or_Opr, Fetch_And_Sub_Opr, Fetch_And_Xor_Opr, Fill_Symbol_Star_Opr, find_base_attr(), find_left_attr(), finish_symbolic_expr(), Fission_Star_Opr, Flat_Array_Asg_Opr, size_offset_entry::fld, Floor_Opr, Flush_Open_Mp_Opr, Flush_Star_Opr, folder_driver(), Fraction_Opr, Free_Opr, Func_Entry, Func_Exit, Fuse_Star_Opr, Ge_Opr, gen_whole_substring(), get_basic_type(), Get_Ieee_Exceptions_Opr, Get_Ieee_Interrupts_Opr, Get_Ieee_Rounding_Mode_Opr, Get_Ieee_Status_Opr, GET_LCV_CONST, get_next_array_expr_element(), get_type_desc(), Getpos_Opr, global_attr_idx, Gt_Opr, Guard_Cmic_Opr, guard_cmic_vpr_idx, Ibits_Opr, Ichar_Opr, idx, size_offset_entry::idx, Ieee_Binary_Scale_Opr, Ieee_Class_Opr, Ieee_Copy_Sign_Opr, Ieee_Exponent_Opr, Ieee_Finite_Opr, Ieee_Int_Opr, Ieee_Is_Nan_Opr, Ieee_Next_After_Opr, Ieee_Real_Opr, Ieee_Remainder_Opr, Ieee_Unordered_Opr, if(), If_Opr, IL_ARG_MULTI_FLAGS, IL_DISTRIBUTION, IL_FLD, IL_IDX, IL_LINE_NUM, IL_LIST_CNT, IL_NEXT_LIST_IDX, IL_OPND, IL_PE_SUBSCRIPT, IL_PREV_LIST_IDX, IL_Tbl_Idx, IMPLICIT_Tbl_Idx, Implied_Do_Opr, Independent_OpenAD_Opr, Index_Opr, Init_Opr, Init_Reloc_Opr, Inquire_Iolength_Opr, Inquire_Opr, insert_init_stmt_for_tmp(), Int_Mult_Upper_Opr, Int_Opr, Integer, Integer_8, INTEGER_DEFAULT_TYPE, Interchange_Dir_Opr, Internal, io_ctl_list, Io_Item_Type_Code_Opr, io_type, IO_TYPE_CODE_TYPE, IR_BOUNDS_DONE, IR_COL_NUM, IR_CONTIG_ARRAY, IR_DV_DIM, IR_FLD_L, IR_FLD_R, IR_IDX_L, IR_IDX_R, IR_INLINE_STATE, IR_LINE_NUM, IR_LINE_NUM_L, IR_LINE_NUM_R, IR_LIST_CNT_L, IR_LIST_CNT_R, IR_OPND_L, IR_OPR, IR_Tbl_Idx, IR_TYPE_IDX, IR_WHOLE_ARRAY, is_subscript, Ishftc_Opr, Kwd_Opr, L, Label, Label_Opr, Lbl_Format, Lbl_User, Le_Opr, Leadz_Opr, Len_Trim_Opr, Length_Opr, Lg_Opr, Lge_Opr, Lgt_Opr, Lle_Opr, Llt_Opr, Loc_Opr, Lock_Release_Opr, Lock_Test_And_Set_Opr, Log_10_Opr, Log_E_Opr, Logical_Opr, Loop_End_Opr, Loop_Info_Opr, Lt_Opr, make_io_type_code(), Malloc_Opr, Mask_Opr, Master_Open_Mp_Opr, Matmul_Opr, Max_Opr, Maxloc_Opr, Maxval_Opr, Mcbl_Opr, member(), Memory_Barrier_Opr, Min_Opr, Minloc_Opr, Minus_Opr, Minval_Opr, Mld_Opr, Mldmx_Opr, Mmx_Opr, Mod_Opr, on_off_flags_entry::module_to_mod, Modulo_Opr, MP_DIR_AFFINITY_IDX, MP_DIR_CHUNK_IDX, MP_DIR_IF_IDX, MP_DIR_IS_THREAD_IDX, MP_DIR_LASTLOCAL_IDX, MP_DIR_LASTTHREAD_IDX, MP_DIR_LIST_CNT, MP_DIR_LOCAL_IDX, MP_DIR_MP_SCHEDTYPE_IDX, MP_DIR_NEST_IDX, MP_DIR_ONTO_IDX, MP_DIR_ORDERED_IDX, MP_DIR_REDUCTION_IDX, MP_DIR_SHARE_IDX, MP_DIR_THREAD_DATA_IDX, Mul_Opr, Mult_Opr, Mvbits_Opr, My_Pe_Opr, name_pool, Namelist_Grp, Nand_And_Fetch_Opr, Ne_Opr, Nearest_Opr, Neqv_Opr, nested_array, Nint_Opr, NO_Tbl_Idx, Nobounds_Cdir_Opr, Noconcurrentize_Star_Opr, Normal_Return, Not_Opr, npex_constant(), npex_div(), npex_max(), npex_min(), npex_minus(), npex_mod(), npex_mult(), npex_npes(), npex_plus(), npex_push(), npex_shiftl(), npex_shiftr(), npex_to_expr(), npex_uminus(), ntr_const_tbl(), NULL, NULL_IDX, null_type, Nullify_Opr, num_host_wds, Numarg_Opr, Numcpus_Cmic_Opr, Omp_Set_Lock_Opr, Omp_Test_Lock_Opr, Omp_Unset_Lock_Opr, on_off_flags, Opaque_Star_Opr, OPEN_MP_AFFINITY_IDX, OPEN_MP_COPYIN_IDX, OPEN_MP_COPYPRIVATE_IDX, OPEN_MP_DEFAULT_IDX, OPEN_MP_FIRSTPRIVATE_IDX, OPEN_MP_FLUSH_IDX, OPEN_MP_IF_IDX, OPEN_MP_IS_THREAD_IDX, OPEN_MP_LASTPRIVATE_IDX, OPEN_MP_LIST_CNT, OPEN_MP_NEST_IDX, OPEN_MP_ONTO_IDX, OPEN_MP_ORDERED_IDX, OPEN_MP_PRIVATE_IDX, OPEN_MP_REDUCTION_LIST_IDX, OPEN_MP_REDUCTION_OPR_IDX, OPEN_MP_SCHEDULE_CHUNK_IDX, OPEN_MP_SCHEDULE_TYPE_IDX, OPEN_MP_SHARED_IDX, OPEN_MP_THREAD_DATA_IDX, Open_Opr, OPND_COL_NUM, OPND_FLD, OPND_IDX, OPND_LINE_NUM, Or_And_Fetch_Opr, Or_Opr, Ordered_Open_Mp_Opr, Pack_Opr, Page_Place_Dollar_Opr, Parallel_Cmic_Opr, parallel_cmic_vpr_idx, Parallel_Do_Par_Opr, Parallel_Open_Mp_Opr, Parallel_Par_Opr, Paralleldo_Open_Mp_Opr, Parallelsections_Open_Mp_Opr, Parallelworkshare_Open_Mp_Opr, Paren_Opr, Pattern_Const, PDG_AT_IDX, PDG_CN_IDX, PDG_DBG_PRINT_C, PDG_DBG_PRINT_D, PDG_DBG_PRINT_END, PDG_DBG_PRINT_LD, PDG_DBG_PRINT_LLD, PDG_DBG_PRINT_LLO, PDG_DBG_PRINT_LVD, PDG_DBG_PRINT_S, PDG_DBG_PRINT_START, PDG_DBG_PRINT_T, PDG_DBG_PRINT_VD, pdg_link_tbl_size, PDG_SB_IDX, pdg_type_void, Pdo_Par_Opr, Pgm_Unit, Plus_Opr, Popcnt_Opr, Poppar_Opr, Power_Opr, Prefetch_Manual_Star_Opr, Prefetch_Ref_Disable_Star_Opr, Prefetch_Ref_Star_Opr, Prefetch_Star_Opr, Present_Opr, print_ir(), PRINTMSG(), processing_call, processing_io_stmt, Product_Opr, Psection_Par_Opr, Ptr_Asg_Opr, Purpleconditional_Star_Opr, Purpleunconditional_Star_Opr, push_data_value(), Ranf_Opr, Ranget_Opr, Ranset_Opr, Read_Formatted_Opr, Read_Namelist_Opr, READ_NML_STMT, READ_STMT, Read_Unformatted_Opr, Readsm_Opr, Real, Real_16, Real_8, Real_Div_To_Int_Opr, Real_Opr, Redistribute_Dollar_Opr, Regionbegin_Star_Opr, Regionend_Star_Opr, Remote_Write_Barrier_Opr, Reshape_Opr, result, Return_Opr, Rewind_Opr, RO_NAME_ATTR, RO_NEXT_IDX, Rrspacing_Opr, Rtc_Opr, cmd_line_flags_entry::runtime_substring, SB_FIRST_ATTR_IDX, SB_NAME_PTR, SB_Tbl_Idx, Scale_Opr, Scan_Opr, SCP_ASSIGN_LBL_CHAIN, SCP_ATTR_IDX, Section_Gp_Star_Opr, Section_Nongp_Star_Opr, Section_Open_Mp_Opr, Section_Par_Opr, Section_Subscript_Opr, Sections_Open_Mp_Opr, Select_Opr, send_attr_ntry(), Send_Cmic_Opr, send_label_def(), Set_Exponent_Opr, Set_Ieee_Exception_Opr, Set_Ieee_Exceptions_Opr, Set_Ieee_Interrupts_Opr, Set_Ieee_Rounding_Mode_Opr, Set_Ieee_Status_Opr, SET_LCV_CONST, SH_GLB_LINE, SH_STMT_TYPE, SH_Tbl_Idx, Shift_Opr, Shifta_Opr, Shiftl_Opr, Shiftr_Opr, SIGN_EXTEND, Sign_Opr, Simple_OpenAD_Opr, Sin_Opr, Sind_Opr, Single_Open_Mp_Opr, Singleprocess_Par_Opr, Sinh_Opr, size_offset_binary_calc(), Spacing_Opr, Spread_Opr, Sqrt_Opr, SSD_Alloc_Opr, SSD_Dealloc_Opr, stack_data_constant, stack_data_object, start, Start_Io_Opr, Stmt_Expansion_Opr, Stop_Opr, stride, Struct_Opr, Sub_And_Fetch_Opr, Subroutine, Subscript_Opr, Substring_Opr, Sum_Opr, Suppress_Opr, symbolic_constant_expr, Symbolic_Div_Opr, Symbolic_Max_Opr, Symbolic_Min_Opr, Symbolic_Minus_Opr, Symbolic_Mod_Opr, Symbolic_Mult_Opr, Symbolic_Plus_Opr, Symbolic_Shiftl_Opr, Symbolic_Shiftr_Opr, Symbolic_Uminus_Opr, Symbolic_Uplus_Opr, Symmetric_Alloc_Opr, Symmetric_Dealloc_Opr, Synchronize_Opr, Tan_Opr, Tand_Opr, Tanh_Opr, Test_Ieee_Exception_Opr, Test_Ieee_Interrupt_Opr, TRACE, Transpose_Opr, Triplet_Opr, TRUE, TYP_BIT_LEN, TYP_CHAR_CLASS, TYP_IDX, TYP_LINEAR, TYP_TYPE, type_alignment_tbl, size_offset_entry::type_idx, Typeless, Typeless_4, Typeless_8, Uminus_Opr, Unit_Opr, Unpack_Opr, Unroll_Star_Opr, Uplus_Opr, Use_Only, Use_Opr, user_call, User_Code_Start_Opr, Variable, Verify_Opr, Void_Return, Wait_Cmic_Opr, Where_Cnstrct_Opr, Where_Opr, whole_subscript, Whole_Subscript_Opr, whole_substring, Whole_Substring_Opr, Workshare_Open_Mp_Opr, Write_Formatted_Opr, Write_Memory_Barrier_Opr, Write_Namelist_Opr, WRITE_NML_STMT, WRITE_STMT, Write_Unformatted_Opr, Xor_And_Fetch_Opr, and XXX_OpenAD_Opr.

Referenced by cvrt_ir_to_pdg(), get_type_desc(), push_data_value(), send_attr_ntry(), and send_label_def().

static void cvrt_proc_to_pdg ( char *  compiler_gen_date,
boolean  is_child 
) [static]

Definition at line 701 of file i_cvrt.c.

References opt_flags_entry::aggress, allocate_pdg_link_tbls(), ATP_EXT_NAME_IDX, ATP_PGM_UNIT, ATP_SCP_ALIVE, ATP_USES_EREGS, Blockdata, clean_pdg_link_tbls_for_nested_pu(), cmd_line_flags, code_size, create_mod_info_tbl(), curr_scp_idx, cvrt_ir_to_pdg(), cvrt_sytb_to_pdg(), data_size, debug_file, cmd_line_flags_entry::debug_lvl, Debug_Lvl_0, Debug_Lvl_2, dim_reshape_pass_driver(), cmd_line_flags_entry::do_UDB_checks, FALSE, free_tables(), Func_Entry, Func_Exit, get_src_path_name(), opt_flags_entry::ieeeconform, MAIN_SCP_IDX, Module, name_pool_entry::name_char, name_pool, NULL, NULL_IDX, on_off_flags, opt_flags, output_mod_info_file(), opt_flags_entry::pattern, PDG_AT_IDX, PDG_DBG_PRINT_C, PDG_DBG_PRINT_D, PDG_DBG_PRINT_END, PDG_DBG_PRINT_LD, PDG_DBG_PRINT_LO, PDG_DBG_PRINT_S, PDG_DBG_PRINT_START, PDGCS_comp_unit(), PDGCS_debug_init(), PDGCS_do_proc(), PDGCS_end_comp_unit(), PDGCS_end_procs(), PDGCS_new_proc(), PDGCS_NEW_PROC_CONFORM_CHECK, PDGCS_NEW_PROC_DO_UBD_ANALYSIS, PDGCS_NEW_PROC_ELIM_DEAD_CODE, PDGCS_NEW_PROC_IEEE_CONFORM, PDGCS_NEW_PROC_IEEE_RECIPS, PDGCS_NEW_PROC_IGNORE_THROTTLE, PDGCS_NEW_PROC_PATTERN_MATCHING, PDGCS_NEW_PROC_TASK_INNER_LOOPS, pgm_attr_idx, opt_flags_entry::pipeline_lvl, on_off_flags_entry::reciprical_divide, opt_flags_entry::reshape, cmd_line_flags_entry::runtime_conformance, cmd_line_flags_entry::runtime_ptr_chk, runtime_ptr_chk_driver(), opt_flags_entry::scalar_lvl, SCP_ALT_ENTRY_CNT, SCP_ATTR_IDX, SCP_FIRST_CHILD_IDX, SCP_FIRST_SH_IDX, SCP_SIBLING_IDX, send_attr_ntry(), send_mod_file_name(), SH_GLB_LINE, stmt_start_col, stmt_start_line, opt_flags_entry::stream_lvl, opt_flags_entry::task_lvl, Task_Lvl_3, TRACE, TRUE, and opt_flags_entry::vector_lvl.

Referenced by cvrt_to_pdg().

Here is the call graph for this function:

static void finish_symbolic_expr ( void  ) [static]

Definition at line 10713 of file i_cvrt.c.

References Func_Entry, Func_Exit, npex_end(), npex_to_expr(), NULL, PDG_DBG_PRINT_C, PDG_DBG_PRINT_D, PDG_DBG_PRINT_END, PDG_DBG_PRINT_START, and TRACE.

Referenced by cvrt_exp_to_pdg().

Here is the call graph for this function:

static TYPE get_type_desc ( int  input_idx) [static]

Definition at line 11226 of file i_cvrt.c.

References Array, Assumed_Shape, Assumed_Size, AT_OBJ_CLASS, AT_REFERENCED, AT_WORK_IDX, ATD_ALIGNMENT, ATD_ARRAY_IDX, ATD_AUTO_BASE_IDX, ATD_AUTOMATIC, ATD_CLASS, ATD_DISTRIBUTION_IDX, ATD_IM_A_DOPE, ATD_OFFSET_ASSIGNED, ATD_PE_ARRAY_IDX, ATD_POINTER, ATD_PTR_IDX, ATD_STOR_BLK_IDX, ATD_SYMBOLIC_CONSTANT, ATD_TYPE_IDX, ATP_EXPL_ITRFC, ATP_PGM_UNIT, ATP_RSLT_IDX, ATP_SCP_ALIVE, BD_ARRAY_CLASS, BD_CYCLIC_FLD, BD_CYCLIC_IDX, BD_DISTRIBUTE_RESHAPE, BD_DISTRIBUTION, BD_FLOW_DEPENDENT, BD_LB_FLD, BD_LB_IDX, BD_ONTO_FLD, BD_ONTO_IDX, BD_RANK, BD_RESOLVED, BD_UB_FLD, BD_UB_IDX, BD_XT_FLD, BD_XT_IDX, Character, CN_INT_TO_C, CN_Tbl_Idx, Complex_16, Complex_4, Complex_8, Const_Len_Char, size_offset_entry::constant, COPY_ATTR_NTRY, CRI__Pointee, curr_scp_idx, cvrt_exp_to_pdg(), Data_Obj, Deferred_Shape, Deferred_Shape1, EXIT, F_INT_TO_C, FALSE, fei_array_dimen(), FEI_ARRAY_DIMEN_DIST_EXPR, FEI_ARRAY_DIMEN_DIST_RESHAPE, FEI_ARRAY_DIMEN_EMPTY_EXT, FEI_ARRAY_DIMEN_EMPTY_LB, FEI_ARRAY_DIMEN_EMPTY_UB, FEI_ARRAY_DIMEN_FLOW_DEPENDENT, FEI_ARRAY_DIMEN_HOSTED_TYPE, FEI_ARRAY_DIMEN_ONTO_EXPR, FEI_ARRAY_DIMEN_SCON_EXT, FEI_ARRAY_DIMEN_SCON_LB, FEI_ARRAY_DIMEN_SCON_UB, FEI_ARRAY_DIMEN_VARY_EXT, FEI_ARRAY_DIMEN_VARY_LB, FEI_ARRAY_DIMEN_VARY_UB, FEI_ASSUMD_SHAPE_ARRAY, FEI_ASSUMED_SIZE_ARRAY, fei_co_array_dimen(), FEI_DEFERRED_SHAPE_ARRAY, fei_descriptor(), FEI_DESCRIPTOR_HOSTED_TYPE, FEI_DOPE_VECTOR_HOSTED_TYPE, FEI_DOPE_VECTOR_POINTER, fei_init_global_vars(), size_offset_entry::fld, Func_Entry, Func_Exit, Function, get_basic_type(), global_attr_idx, size_offset_entry::idx, Integer_1, Integer_2, Integer_4, Integer_8, Internal, Logical_1, Logical_2, Logical_4, Logical_8, NO_Tbl_Idx, NULL, NULL_IDX, null_type, PDG_AT_IDX, PDG_DBG_PRINT_C, PDG_DBG_PRINT_D, PDG_DBG_PRINT_END, PDG_DBG_PRINT_LD, PDG_DBG_PRINT_LLD, PDG_DBG_PRINT_O, PDG_DBG_PRINT_S, PDG_DBG_PRINT_START, PDG_DBG_PRINT_T, pdg_type_void, Pgm_Unit, PRINTMSG(), Real_16, Real_4, Real_8, Referenced, SB_SCP_IDX, SCP_SB_STACK_IDX, send_attr_ntry(), size, stor_bit_size_of(), TRACE, TRUE, TYP_CHAR_CLASS, TYP_IDX, TYP_LINEAR, TYP_TYPE, and size_offset_entry::type_idx.

Referenced by cvrt_exp_to_pdg(), send_attr_ntry(), send_dummy_procedure(), and send_procedure().

Here is the call graph for this function:

void init_PDGCS ( void  )

Definition at line 431 of file i_cvrt.c.

References assembly_file, assembly_listing_file, assembly_output, on_off_flags_entry::atexpert, bin_file, binary_output, ccg_dump_flags, cif_actual_file, cif_flags, cif_name, cmd_line_flags, command_name, cmd_line_flags_entry::dalign, debug_file, debug_file_name, cmd_line_flags_entry::debug_lvl, Debug_Lvl_1, Debug_Lvl_2, dump_flags, on_off_flags_entry::flowtrace_option, Fortran_90, Func_Entry, Func_Exit, get_src_path_name(), GL_FILE_NAME_PTR, init_debug_file(), on_off_flags_entry::integer_1_and_2, opt_flags_entry::jump, cmd_line_flags_entry::large_pic_model, MAX_FILE_NAME_SIZE, MESSAGE_RECS, dump_flags_entry::mp, on_off_flags_entry::MPP_apprentice, cmd_line_flags_entry::MPP_num_pes, opt_flags_entry::msgs, opt_flags_entry::neg_msgs, NULL, NULL_CHAR, on_off_flags, opt_flags, opt_flags_entry::opt_info, PDG_DBG_PRINT_C, PDG_DBG_PRINT_D, PDG_DBG_PRINT_END, PDG_DBG_PRINT_LD, PDG_DBG_PRINT_LO, PDG_DBG_PRINT_S, PDG_DBG_PRINT_START, dump_flags_entry::pdgcs, PDGCS_initialize(), PDGCS_INITIALIZE_APPRENTICE, PDGCS_INITIALIZE_ATEXPERT, PDGCS_INITIALIZE_BIG_PIC, PDGCS_INITIALIZE_CCG_JUMPS, PDGCS_INITIALIZE_DBG_TABLES, PDGCS_INITIALIZE_DOUBLE_ALIGN, PDGCS_INITIALIZE_FLOWTRACE, PDGCS_INITIALIZE_INTEGER_1_2, PDGCS_INITIALIZE_KERNEL, PDGCS_INITIALIZE_MEM_HIER_OPT, PDGCS_INITIALIZE_MP, PDGCS_INITIALIZE_NO_NEG_MSGS, PDGCS_INITIALIZE_NO_OPT_MSGS, PDGCS_INITIALIZE_OBJ_FILE, PDGCS_INITIALIZE_OPT_DEBUG, PDGCS_INITIALIZE_OPT_INFO, PDGCS_INITIALIZE_PART_DEBUG, PDGCS_INITIALIZE_S2P_COERCE, PDGCS_INITIALIZE_SMALL_PIC, PDGCS_INITIALIZE_STREAMSPLIT, PDGCS_INITIALIZE_TRUNCATE, dump_flags_entry::preinline, dump_flags_entry::pvp_test, release_level, on_off_flags_entry::round_mult_operations, on_off_flags_entry::shared_to_private_coer, cmd_line_flags_entry::small_pic_model, cmd_line_flags_entry::solaris_profile, opt_flags_entry::split_lvl, Split_Lvl_2, TRACE, cmd_line_flags_entry::truncate_bits, opt_flags_entry::unroll_lvl, Unroll_Lvl_2, and on_off_flags_entry::upper_case_names.

Referenced by init_compiler().

Here is the call graph for this function:

static void send_attr_ntry ( int  attr_idx) [static]

Definition at line 13631 of file i_cvrt.c.

References AL_ATTR_IDX, AL_NEXT_IDX, Asg_Opr, Assumed_Shape, Assumed_Size, AT_ACTUAL_ARG, AT_ATTR_LINK, AT_COMPILER_GEND, AT_DEF_COLUMN, AT_DEF_IN_CHILD, AT_DEF_LINE, AT_DEFINED, AT_HOST_ASSOCIATED, AT_IGNORE_ATTR_LINK, AT_IS_DARG, AT_IS_INTRIN, AT_MODULE_IDX, AT_MODULE_OBJECT, AT_NAMELIST_OBJ, AT_OBJ_CLASS, AT_OBJ_NAME_PTR, AT_OPTIONAL, AT_ORIG_MODULE_IDX, AT_PRIVATE, AT_REF_IN_CHILD, AT_REFERENCED, AT_Tbl_Idx, ATD_ALIGNMENT, ATD_ALLOCATABLE, ATD_ARRAY_IDX, ATD_AUTO_BASE_IDX, ATD_AUTOMATIC, ATD_AUXILIARY, ATD_CACHE_ALIGN, ATD_CLASS, ATD_CONST_IDX, ATD_CPNT_OFFSET_IDX, ATD_DATA_INIT, ATD_DEFINING_ATTR_IDX, ATD_DV_ALIAS, ATD_EQUIV, ATD_FLD, ATD_IM_A_DOPE, ATD_IN_COMMON, ATD_INTENT, ATD_NOT_PT_UNIQUE_MEM, ATD_OFFSET_ASSIGNED, ATD_OFFSET_FLD, ATD_OFFSET_IDX, ATD_PERMUTATION, ATD_POINTER, ATD_PTR_ASSIGNED, ATD_PTR_IDX, ATD_READ_ONLY_VAR, ATD_SAVED, ATD_SF_DARG, ATD_STOR_BLK_IDX, ATD_SYMBOLIC_CONSTANT, ATD_SYMMETRIC, ATD_TARGET, ATD_TMP_IDX, ATD_TYPE_IDX, Atd_Unknown, ATD_VARIABLE_TMP_IDX, ATI_FIRST_SPECIFIC_IDX, ATI_NUM_SPECIFICS, ATI_PROC_IDX, ATI_USER_SPECIFIED, ATP_PGM_UNIT, ATT_ALIGNMENT, ATT_CHAR_SEQ, ATT_SCP_IDX, ATT_TY_IDX, Based, BD_ARRAY_CLASS, Byte_Align, Character, CLEAR_TBL_NTRY, cmd_line_flags, CN_CONST, CN_INT_TO_C, CN_POOL_IDX, CN_Tbl_Idx, CN_TYPE_IDX, Compiler_Temp, Compiler_Tmp, Complex_16, Complex_4, Component, Constant, size_offset_entry::constant, CP_CONSTANT, CRI__Pointee, CRI_Ch_Ptr, CRI_Pointee, CRI_Ptr, curr_scp_idx, cvrt_exp_to_pdg(), Data_Obj, cmd_line_flags_entry::debug_lvl, Debug_Lvl_2, Deferred_Shape, Deferred_Shape1, Derived_Type, Dummy_Arg, Dummy_Argument, cmd_line_flags_entry::dwarf_debug, EXIT, F_INT_TO_C, FALSE, fei_arith_con(), fei_gen_st_for_type(), fei_member(), fei_object(), FEI_OBJECT_ACTUAL_ARG, FEI_OBJECT_ADDRTAKEN, FEI_OBJECT_ALLOCATE, FEI_OBJECT_ASSUMD_SHAPE, FEI_OBJECT_ASSUMED_SIZE, FEI_OBJECT_AUXILIARY, FEI_OBJECT_CACHE_ALIGN, FEI_OBJECT_DEFERRED_SHAPE, FEI_OBJECT_DEFINED, FEI_OBJECT_DV_IS_PTR, FEI_OBJECT_EQUIV, FEI_OBJECT_EXTERNAL, FEI_OBJECT_IN_COMMON, FEI_OBJECT_IN_MODULE, FEI_OBJECT_INITIALD, FEI_OBJECT_INNER_DEF, FEI_OBJECT_INNER_REF, FEI_OBJECT_IS_DOPE_VEC, FEI_OBJECT_NAMELIST_ITEM, FEI_OBJECT_NOT_PT_TO_UNIQUE_MEM, FEI_OBJECT_OFF_ASSIGNED, FEI_OBJECT_OPTIONAL, FEI_OBJECT_PARAMETER, FEI_OBJECT_PERMUTATION, FEI_OBJECT_PRIVATE, FEI_OBJECT_PTR_ASSIGNED, FEI_OBJECT_READ_ONLY, FEI_OBJECT_RESULT_TEMP, FEI_OBJECT_SAVED, FEI_OBJECT_SF_DARG, FEI_OBJECT_SYMCON_OFFST, FEI_OBJECT_SYMMETRIC, FEI_OBJECT_TARGET, fei_pattern_con(), fei_smt_actual_to_generic(), fei_smt_parameter(), size_offset_entry::fld, Formal, Func_Entry, Func_Exit, Function_Result, Function_Rslt, FWord_Align, get_basic_type(), get_type_desc(), Hosted_Compiler_Temp, HWord_Align, size_offset_entry::idx, IL_IDX, IL_NEXT_LIST_IDX, IL_Tbl_Idx, Imported, insert_init_stmt_for_tmp(), on_off_flags_entry::integer_1_and_2, Integer_8, Intent_Inout, Intent_Out, Intent_Unseen, Interface, Internal, IO_TYPE_CODE_TYPE, IR_FLD_R, IR_IDX_R, IR_OPR, IR_Tbl_Idx, Label, len, Long_Typeless, make_io_type_code(), Module, Name, Namelist_Grp, NO_Tbl_Idx, Not_Referenced, npex_end(), ntr_derived_type_tbl(), NULL, NULL_CHAR, NULL_IDX, on_off_flags, PACK_16_BIT_TEST_CONDITION, PACK_8_BIT_TEST_CONDITION, PACK_HALF_WORD_TEST_CONDITION, Pattern_Const, PDG_AT_IDX, PDG_AT_TYP_IDX, PDG_CN_IDX, PDG_DBG_PRINT_C, PDG_DBG_PRINT_D, PDG_DBG_PRINT_END, PDG_DBG_PRINT_LD, PDG_DBG_PRINT_LLD, PDG_DBG_PRINT_LLO, PDG_DBG_PRINT_LVD, PDG_DBG_PRINT_S, PDG_DBG_PRINT_START, PDG_DBG_PRINT_T, PDG_DBG_PRINT_VD, PDG_SB_IDX, pdg_type_tbl, Pgm_Unit, PRINTMSG(), Real_16, SB_BLK_TYPE, SB_CACHE_ALIGN, SB_DEF_MULT_SCPS, SB_HOSTED_STATIC, SB_NAME_PTR, SB_SCP_IDX, SCP_ATTR_IDX, send_derived_type(), send_interface_list(), send_label(), send_namelist_group(), send_procedure(), send_stor_blk(), SIGN_EXTEND, size, SN_ATTR_IDX, SN_SIBLING_LINK, stor_bit_size_of(), strcpy, Struct_Component, Structure, Structure_Type, symbolic_constant_expr, TBL_REALLOC_CK, TRACE, TRUE, TYP_BIT_LEN, TYP_IDX, TYP_LINEAR, TYP_PTR_INCREMENT, TYP_TYPE, TYP_WORK_IDX, type_alignment_tbl, size_offset_entry::type_idx, type_tbl, User_Variable, Variable, and Word_Align.

Referenced by cvrt_exp_to_pdg(), cvrt_proc_to_pdg(), cvrt_sytb_to_pdg(), get_basic_type(), get_type_desc(), send_darg_list(), send_derived_type(), send_label_def(), send_namelist_group(), send_procedure(), and send_stor_blk().

Here is the call graph for this function:

static TYPE send_derived_type ( int  ,
int   
) [static]

Referenced by get_basic_type(), and send_attr_ntry().

static void send_label_def ( int  ir_idx) [static]

Definition at line 13103 of file i_cvrt.c.

References AT_OBJ_NAME_PTR, AT_REFERENCED, AT_Tbl_Idx, ATL_AGGRESSIVEINNERLOOPFISSION, ATL_BL, ATL_CASE_LABEL, ATL_CNCALL, ATL_CONCURRENT, ATL_CONSTRUCTOR_LOOP, ATL_DIRECTIVE_LIST, ATL_FISSIONABLE, ATL_FUSABLE, ATL_FUSION, ATL_IN_ASSIGN, ATL_INFORM_ONLY, ATL_IVDEP, ATL_NEXTSCALAR, ATL_NOBLOCKING, ATL_NOFISSION, ATL_NOFUSION, ATL_NOINTERCHANGE, ATL_NORECURRENCE, ATL_NOTASK, ATL_NOVECTOR, ATL_NOVSEARCH, ATL_PATTERN, ATL_PERMUTATION, ATL_PREFERSTREAM, ATL_PREFERSTREAM_NOCINV, ATL_PREFERTASK, ATL_PREFERVECTOR, ATL_SHORTLOOP, ATL_SHORTLOOP128, ATL_SPLIT, ATL_STREAM, ATL_TOP_OF_LOOP, ATL_UNROLL_DIR, Blockable_Dir_Idx, Cache_Bypass_Dir_Idx, cmd_line_flags, CN_CONST, CN_INT_TO_C, CN_Tbl_Idx, Concurrent_Dir_Idx, cvrt_exp_to_pdg(), cmd_line_flags_entry::debug_lvl, Debug_Lvl_2, FALSE, fei_label_def_named(), FEI_LABEL_DEF_NAMED_AGGRESSIVEINNERLOOPFISSION, FEI_LABEL_DEF_NAMED_CASE, FEI_LABEL_DEF_NAMED_CONCCALLS, FEI_LABEL_DEF_NAMED_CONCURRENT, FEI_LABEL_DEF_NAMED_CONSTRUCTOR_LOOP, FEI_LABEL_DEF_NAMED_DO_BL, FEI_LABEL_DEF_NAMED_EXTTABLES, FEI_LABEL_DEF_NAMED_EXTTIME, FEI_LABEL_DEF_NAMED_FISSIONABLE, FEI_LABEL_DEF_NAMED_FUSABLE, FEI_LABEL_DEF_NAMED_FUSION, FEI_LABEL_DEF_NAMED_INFORM_ONLY, FEI_LABEL_DEF_NAMED_IVDEP, FEI_LABEL_DEF_NAMED_LOOPCHK, FEI_LABEL_DEF_NAMED_NEXTSCALAR, FEI_LABEL_DEF_NAMED_NOBLOCKING, FEI_LABEL_DEF_NAMED_NOFISSION, FEI_LABEL_DEF_NAMED_NOFUSION, FEI_LABEL_DEF_NAMED_NOINTERCHANGE, FEI_LABEL_DEF_NAMED_NOREDUCE, FEI_LABEL_DEF_NAMED_NOSYNCBARR, FEI_LABEL_DEF_NAMED_NOT_REFERENCED, FEI_LABEL_DEF_NAMED_NOTASK, FEI_LABEL_DEF_NAMED_NOVECTOR, FEI_LABEL_DEF_NAMED_NOVSEARCH, FEI_LABEL_DEF_NAMED_PATTERN, FEI_LABEL_DEF_NAMED_PERMUTATION, FEI_LABEL_DEF_NAMED_PREFERSTREAM, FEI_LABEL_DEF_NAMED_PSTREAM_NOCINV, FEI_LABEL_DEF_NAMED_SELECT_TASK, FEI_LABEL_DEF_NAMED_SELECT_VEC, FEI_LABEL_DEF_NAMED_SHORTLOOP, FEI_LABEL_DEF_NAMED_SHORTLOOP128, FEI_LABEL_DEF_NAMED_STREAM, FEI_LABEL_DEF_NAMED_STREAMSPLIT, FEI_LABEL_DEF_NAMED_SUPPRESS, FEI_LABEL_DEF_NAMED_UNROLL, Func_Entry, Func_Exit, IL_FLD, IL_IDX, IL_LIST_CNT, IL_NEXT_LIST_IDX, IL_Tbl_Idx, Interchange_Dir_Idx, Interchange_Level_Dir_Idx, IR_IDX_L, IR_IDX_R, IR_LINE_NUM, IR_LIST_CNT_L, IR_OPR, Label_Opr, Mark_Dir_Idx, Not_Referenced, NULL, NULL_IDX, opt_flags, PDG_AT_IDX, PDG_DBG_PRINT_C, PDG_DBG_PRINT_D, PDG_DBG_PRINT_END, PDG_DBG_PRINT_LD, PDG_DBG_PRINT_LLO, PDG_DBG_PRINT_LVD, PDG_DBG_PRINT_S, PDG_DBG_PRINT_START, Safevl_Dir_Idx, send_attr_ntry(), opt_flags_entry::task_lvl, Task_Lvl_0, Task_Lvl_3, TRACE, Unroll_Dir_Idx, opt_flags_entry::vector_lvl, and Vector_Lvl_3.

Referenced by cvrt_exp_to_pdg().

Here is the call graph for this function:

static void send_mod_file_name ( void  ) [static]

Definition at line 14527 of file i_cvrt.c.

References opt_flags_entry::aggress, AT_CIF_SYMBOL_ID, AT_NAME_IDX, AT_NAME_LEN, AT_OBJ_NAME_PTR, AT_WORK_IDX, ATP_ALT_ENTRY, ATP_EXT_NAME_IDX, ATP_EXT_NAME_LEN, ATP_PGM_UNIT, ATP_PROC, CLEAR_TBL_NTRY, CLEAR_VARIANT_ATTR_INFO, cmd_line_flags, COPY_ATTR_NTRY, CREATE_ID, create_mod_info_tbl(), debug_file, cmd_line_flags_entry::debug_lvl, Debug_Lvl_0, Definition, cmd_line_flags_entry::do_UDB_checks, fei_module_file(), fei_next_func_idx(), FP_NAME_PTR, FP_OUTPUT_TO_O, Func_Entry, Func_Exit, MAIN_SCP_IDX, Module, name_pool_entry::name_long, name_pool, NULL, NULL_IDX, on_off_flags, opt_flags, output_mod_info_file(), opt_flags_entry::pattern, PDG_AT_IDX, PDG_DBG_PRINT_C, PDG_DBG_PRINT_D, PDG_DBG_PRINT_END, PDG_DBG_PRINT_O, PDG_DBG_PRINT_S, PDG_DBG_PRINT_START, PDGCS_comp_unit(), PDGCS_do_proc(), PDGCS_end_comp_unit(), PDGCS_end_procs(), PDGCS_new_proc(), PDGCS_NEW_PROC_CONFORM_CHECK, PDGCS_NEW_PROC_DO_UBD_ANALYSIS, PDGCS_NEW_PROC_ELIM_DEAD_CODE, PDGCS_NEW_PROC_IEEE_RECIPS, PDGCS_NEW_PROC_IGNORE_THROTTLE, PDGCS_NEW_PROC_PATTERN_MATCHING, PDGCS_NEW_PROC_TASK_INNER_LOOPS, Pgm_Unit, opt_flags_entry::pipeline_lvl, on_off_flags_entry::reciprical_divide, cmd_line_flags_entry::runtime_conformance, opt_flags_entry::scalar_lvl, SCP_ATTR_IDX, SCP_FILE_PATH_IDX, send_procedure(), opt_flags_entry::stream_lvl, opt_flags_entry::task_lvl, Task_Lvl_3, token, TOKEN_ID, TOKEN_STR_WD, TRACE, TRUE, and opt_flags_entry::vector_lvl.

Referenced by cvrt_proc_to_pdg(), and cvrt_to_pdg().

Here is the call graph for this function:

static void send_procedure ( int  attr_idx,
int  alt_entry_idx,
int  call_type 
) [static]

Definition at line 12316 of file i_cvrt.c.

References AL_ATTR_IDX, AL_NEXT_IDX, on_off_flags_entry::alloc_autos_on_stack, AT_DEF_LINE, AT_MODULE_IDX, AT_MODULE_OBJECT, AT_NAME_IDX, AT_OBJ_NAME_PTR, AT_REFERENCED, AT_USE_ASSOCIATED, AT_WORK_IDX, ATD_STOR_BLK_IDX, ATP_ALIGN, ATP_ALL_INTENT_IN, ATP_ALT_ENTRY, ATP_ARGCHCK_CALL, ATP_COARRAY_CONCURRENT, ATP_DCL_EXTERNAL, ATP_ELEMENTAL, ATP_EXPL_ITRFC, ATP_EXT_NAME_IDX, ATP_EXTRA_DARG, ATP_HAS_ALT_RETURN, ATP_HAS_OVER_INDEXING, ATP_HAS_TASK_DIRS, ATP_IN_CURRENT_COMPILE, ATP_IN_INTERFACE_BLK, ATP_INTERFACE_IDX, ATP_INTRIN_ENUM, ATP_NAME_IN_STONE, ATP_NOSIDE_EFFECTS, ATP_NUM_DARGS, ATP_OPTIONAL_DIR, ATP_PGM_UNIT, ATP_PROC, ATP_PURE, ATP_RECURSIVE, ATP_RSLT_IDX, ATP_SCP_ALIVE, ATP_SCP_IDX, ATP_SGI_GLOBAL_INLINE, ATP_VFUNCTION, Blockdata, Buffer_In_Attr_Idx, Buffer_Out_Attr_Idx, cdir_switches, CG_INTEGER_DEFAULT_TYPE, cmd_line_flags, curr_scp_idx, cmd_line_flags_entry::debug_lvl, Debug_Lvl_2, Definition, Dummy_Proc, EXIT, Extern_Proc, FALSE, fei_name(), fei_next_name(), fei_proc(), FEI_PROC_ALGNINST, FEI_PROC_ARG_CHECK, FEI_PROC_BUFIN, FEI_PROC_BUFOUT, FEI_PROC_CNAA, FEI_PROC_CNGA, FEI_PROC_CNGO, FEI_PROC_DEFINITION, FEI_PROC_DNDA, FEI_PROC_DOFLOWTR, FEI_PROC_ELEMENTAL, FEI_PROC_ENTRY, FEI_PROC_GLOBAL_INLINE, FEI_PROC_HAS_ALT_ENTRY, FEI_PROC_HASRSLT, FEI_PROC_IMMORT, FEI_PROC_IMPORTED, FEI_PROC_IN_INTERFACE, FEI_PROC_INDEFSTK, FEI_PROC_LIMHEAP, FEI_PROC_M_IMPORTED, FEI_PROC_MICROTASK, FEI_PROC_MOD_FIRST, FEI_PROC_MOD_JUST, FEI_PROC_MOD_LAST, FEI_PROC_MODULE, FEI_PROC_NCOADDR, FEI_PROC_NOCALLS, FEI_PROC_NOIO, FEI_PROC_NOSIDE_EFFECTS, FEI_PROC_NOTHRESHOLDS, FEI_PROC_OPTIONAL_DIR, FEI_PROC_PARENT, FEI_PROC_PERM_NAME, FEI_PROC_RECURSE, FEI_PROC_RNGO, FEI_PROC_STRROUND, FEI_PROC_TASKINNER, FEI_PROC_TOLERANT, FEI_PROC_TRUNCATE, FEI_PROC_VFUNC, FEI_PROC_ZERO_INIT, FEI_PROC_ZEROINC, fei_smt_actual_to_generic(), fei_smt_original_to_qualified(), cdir_switch_entry::flow, Func_Entry, Func_Exit, Function, get_basic_type(), get_type_desc(), glb_tbl_idx, Imported, Imported_Proc, In_Interface, on_off_flags_entry::indef_init, Intern_Proc, Intern_Proc_Refd, Intrin_Proc, Intrin_src_Proc, Log2_Images_Intrinsic, Module, Module_Proc, name_pool_entry::name_char, name_pool, Not_Referenced, NULL, NULL_IDX, Num_Images_Intrinsic, on_off_flags, opt_flags, opt_flags_entry::over_index, Parent, PDG_AT_IDX, PDG_DBG_PRINT_C, PDG_DBG_PRINT_D, PDG_DBG_PRINT_END, PDG_DBG_PRINT_LD, PDG_DBG_PRINT_LLO, PDG_DBG_PRINT_S, PDG_DBG_PRINT_START, PDG_DBG_PRINT_T, pdg_type_void, Pgm_Unknown, prev_idx, Program, on_off_flags_entry::recursive, Rem_Images_Intrinsic, on_off_flags_entry::round_mult_operations, SB_HOSTED_STACK, SCP_ALT_ENTRY_CNT, SCP_ATTR_IDX, SCP_DOES_IO, SCP_HAS_CALLS, SCP_PARENT_IDX, send_attr_ntry(), send_darg_list(), send_dummy_procedure(), cmd_line_flags_entry::solaris_profile, Subroutine, Sym_Function, Sync_Images_Intrinsic, opt_flags_entry::taskinner, This_Image_Intrinsic, opt_flags_entry::threshold, TRACE, TRUE, type_alignment_tbl, Unknown_Proc, on_off_flags_entry::zero_init, and opt_flags_entry::zeroinc.

Referenced by cvrt_sytb_to_pdg(), get_basic_type(), send_attr_ntry(), send_interface_list(), and send_mod_file_name().

Here is the call graph for this function:

void terminate_PDGCS ( void  )

Definition at line 14700 of file i_cvrt.c.

References assembly_output, binary_output, Func_Entry, Func_Exit, NULL, PDG_DBG_PRINT_C, PDG_DBG_PRINT_END, PDG_DBG_PRINT_START, PDGCS_terminate(), and TRACE.

Referenced by main().

Here is the call graph for this function:


Variable Documentation

int blank_pad_text [static]

Definition at line 95 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), and push_data_value().

int case_cmic_vpr_idx [static]

Definition at line 110 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), and cvrt_to_pdg().

int curr_sh [static]

Definition at line 108 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), cvrt_ir_to_pdg(), and end_if_blk().

int data_attr [static]

Definition at line 96 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), and push_data_value().

Definition at line 97 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

int data_value_idx [static]

Definition at line 93 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), and push_data_value().

Definition at line 112 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

int global_attr_idx [static]

Definition at line 94 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), get_basic_type(), and get_type_desc().

int guard_cmic_vpr_idx [static]

Definition at line 111 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), and cvrt_to_pdg().

int io_ctl_list [static]

Definition at line 105 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

int io_type [static]

Definition at line 109 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), and io_ctl_list_semantics().

int is_subscript [static]

Definition at line 106 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

int nested_array = 0 [static]

Definition at line 107 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

TYPE null_type [static]

Definition at line 115 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), get_basic_type(), and get_type_desc().

char* p_atp_pgm_unit[] [static]
Initial value:
 {
                        "Pgm_Unknown",
                        "C Function",
                        "ERROR",
                        "Function",
                        "Subroutine",
                        "Program",
                        "Blockdata",
                        "Module" }

Definition at line 175 of file i_cvrt.c.

char* p_basic_type[] [static]
Initial value:
 {
                        "Unknown",
                        "Logical",
                        "Typeless",
                        "Void",
                        "Char_Fortran",
                        "Char_C",
                        "Struct",
                        "Union",
                        "Integral",
                        "Floating_Pt",
                        "Complex",
                        "Cray_Pointer",
                        "Cray_Pointer_Ch",
                        "Cray_Parcel" }

Definition at line 267 of file i_cvrt.c.

char* p_boolean[] [static]
Initial value:
 {
                        "F", 
                        "T"}

Definition at line 185 of file i_cvrt.c.

char* p_const_class[] [static]
Initial value:
 {
                        "No_Const",
                        "Arith_Const",
                        "Addr_Const",
                        "Pattern_Const",
                        "Array_Const",
                        "Struct_Const",
                        "Null_Arg_Const" }

Definition at line 283 of file i_cvrt.c.

char* p_dbg_label[] [static]
Initial value:
 {
                        "Ldbg_None",
                        "Ldbg_Stmt_Lbl",
                        "Ldbg_Exit_Point",
                        "Ldbg_End_Prologue",
                        "Ldbg_Start_Epilogue",
                        "Ldbg_Construct_Name",
                        "Ldbg_Loop_Lbl",
                        "Ldbg_User_Lbl" }

Definition at line 197 of file i_cvrt.c.

char* p_distribution[] [static]
Initial value:
 {
                        "No_Distribution",
                        "Block_Distribution",
                        "Cyclic_Distribution",
                        "Star_Distribution" }

Definition at line 261 of file i_cvrt.c.

char* p_intent[] [static]
Initial value:
 {
                        "Intent_Unseen",
                        "Intent_In",
                        "Intent_Out",
                        "Intent_Inout" }

Definition at line 207 of file i_cvrt.c.

char* p_io[] [static]
Initial value:
 {
                        "Write",
                        "Read",
                        "Write_Namelist",
                        "Read_Namelist" }

Definition at line 213 of file i_cvrt.c.

char* p_label[] [static]
Initial value:
 {
                        "Lbl_Unknown",
                        "Lbl_User",
                        "Lbl_Format",
                        "Lbl_Internal",
                        "Lbl_Debug",
                        "Lbl_Cstrct" }

Definition at line 189 of file i_cvrt.c.

char* p_obj_sym_class[] [static]
Initial value:
 {
                        "Unknown_Sym",
                        "User_Variable",
                        "Dummy_Arg",
                        "Function_Rslt",
                        "Compiler_Temp",
                        "Cray_Pointee",
                        "Component",
                        "Vector_Temp",
                        "Vector_Maskk",
                        "Vector_Iota",
                        "MPP_Object",
                        "Call_Dummy",
                        "Dummy_Procedure",
                        "Hosted_User_Variable",
                        "Hosted_Dummy_Arg",
                        "Scratch_Symbol",
                        "Hosted_Dummy_Procedure",
                        "Hosted_Compiler_Temp",
                        "Name" }

Definition at line 148 of file i_cvrt.c.

char* p_proc[] [static]
Initial value:
 {
                        "Unknown_Proc",
                        "Extern_Proc",
                        "Intern_Proc_Ref",
                        "Dummy_Proc",
                        "Intern_Proc",
                        "Imported_Proc",
                        "Module_Proc",
                        "Intrin_src_Proc"}

Definition at line 138 of file i_cvrt.c.

char* p_return[] [static]
Initial value:
 {
                        "Unknown_Return",
                        "Normal_Return",
                        "Void_Return",
                        "Alternate_Return" }

Definition at line 169 of file i_cvrt.c.

char* p_sb_blk_type_str[] [static]
Initial value:
 {
                        "Unknown",
                        "Static",
                        "Stack",
                        "Formal",
                        "Common",
                        "Extern",
                        "Exported",
                        "Task_Common",
                        "Soft_External",
                        "Global_Breg",
                        "Global_Treg",
                        "Static_Named",
                        "Based",
                        "Equivalenced",
                        "Restricted",
                        "Distributed",
                        "LM_Static",
                        "LM_Common",
                        "LM_Extern",
                        "Auxiliary",
                        "Static_Local",
                        "Non_Local_Stack",
                        "Non_Local_Formal",
                        "Hosted_Stack",
                        "Threadprivate",
                        "Coment" }

Definition at line 226 of file i_cvrt.c.

char* p_sequence[] [static]
Initial value:
 {
                        "Unknown_Seq",
                        "Unsequenced",
                        "Numeric_Seq",
                        "Char_Seq",
                        "Mixed_Seq" }

Definition at line 219 of file i_cvrt.c.

char* p_table_type[] [static]
Initial value:
 {
                        "Unknown",
                        "Basic",
                        "Pointer",
                        "Array",
                        "Function" }

Definition at line 254 of file i_cvrt.c.

char* p_tasking_context[] [static]

Definition at line 292 of file i_cvrt.c.

int parallel_cmic_vpr_idx [static]

Definition at line 113 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

int pdg_align[8]
Initial value:

Definition at line 336 of file i_cvrt.c.

int pdg_type_tbl_idx [static]

Definition at line 117 of file i_cvrt.c.

Referenced by allocate_pdg_link_tbls().

int pdg_type_tbl_inc [static]

Definition at line 118 of file i_cvrt.c.

int pdg_type_tbl_init_size [static]

Definition at line 119 of file i_cvrt.c.

Definition at line 124 of file i_cvrt.c.

int pdg_type_tbl_limit = (1 << 16) - 1 [static]

Definition at line 120 of file i_cvrt.c.

int pdg_type_tbl_num_wds [static]
Initial value:
 HOST_BYTES_TO_WORDS(
                                          (sizeof(pdg_type_tbl_type)))

Definition at line 121 of file i_cvrt.c.

int pdg_type_tbl_size [static]

Definition at line 123 of file i_cvrt.c.

TYPE pdg_type_void [static]

Definition at line 116 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), get_type_desc(), and send_procedure().

int processing_call [static]

Definition at line 103 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

Definition at line 114 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

Definition at line 101 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), and push_data_value().

Definition at line 98 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), and push_data_value().

Definition at line 102 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg(), and send_attr_ntry().

int user_call [static]

Definition at line 104 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

Definition at line 99 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

Definition at line 100 of file i_cvrt.c.

Referenced by cvrt_exp_to_pdg().

 All Classes Namespaces Files Functions Variables Typedefs Enumerations Enumerator Friends Defines